选择特殊符号

选择搜索类型

热门搜索

首页 > 百科 > 建设工程百科

ADS2012射频电路设计与仿真

《ADS2012射频电路设计与仿真》是2014年电子工业出版社出版的图书,作者是冯新宇、蒋洪波。 

ADS2012射频电路设计与仿真基本信息

ADS2012射频电路设计与仿真图书目录

第1章ADS2012简介

1.1ADS2012概述

1.2ADS2012安装过程

1.3ADS2012操作窗口介绍

第2章ADS2012基本操作及元件

2.1ADS2012基本操作

2.2ADS2012元件

第3章ADS2012仿真基础

3.1电路仿真基础

3.1.1电路仿真基本操作

3.1.2利用谐波平衡法对放大器仿真

3.2系统仿真基础

3.2.1系统仿真基本操作

3.2.2系统仿真

3.3思考题

第4章直流仿真

4.1直流仿真基本原理及功能

4.2直流仿真面板与仿真控制器

4.3直流仿真的一般过程

4.4ADS中直流仿真案例

4.4.1BJT仿真

4.4.2带参数扫描的直流仿真

4.5直流仿真实例

4.5.1子电路创建方法

4.5.2直流特性分析

4.5.3偏置网络分析

4.5.4温度扫描

4.6思考题

第5章交流仿真

5.1交流仿真基本原理及功能

5.2仿真面板与仿真控制器

5.3交流仿真的一般步骤

5.4ADS中交流仿真案例

5.4.1功率放大器交流仿真

5.4.2功率放大器噪声分析

5.5交流仿真实例

5.5.1交流小信号分析

5.5.2多参数扫描

5.6思考题

第6章S参数仿真

6.1S参数仿真基本原理及功能

6.2S参数仿真面板与仿真控制器

6.3S参数仿真的一般过程

6.4ADS中S参数仿真案例

6.5S参数仿真实例

6.5.1基本S参数仿真

6.5.2匹配电路设计

6.5.3参数优化

6.5.4噪声和增益

6.5.5S2P文件操作

6.6思考题

第7章谐波平衡法仿真

7.1谐波平衡法仿真基本原理及功能

7.2谐波平衡法仿真面板与仿真控制器

7.3谐波平衡法仿真的一般步骤

7.4ADS中谐波平衡法仿真例程

7.4.1单音信号HB仿真

7.4.2参数扫描

7.5谐波平衡法仿真实例

7.5.1单音信号仿真

7.5.2压缩功率输出

7.5.3双音谐波平衡仿真

7.5.4三阶交调点的仿真

7.6思考题

第8章电路包络仿真

8.1电路包络仿真基本原理及功能

8.2电路包络仿真面板与仿真控制器

8.3电路包络仿真基本过程

8.4ADS中电路包络仿真例程

8.5电路包络仿真实例

8.5.1运算放大器包络仿真

8.5.2GSM源包络仿真

8.5.31.9GHz的GSM放大器包络仿真

8.5.4信道功率仿真

8.6思考题

第9章功率分配器的设计与仿真

9.1功分器的基本原理

9.2集总参数功分器设计及仿真

9.2.1等分型功分器

9.2.2等分型功分器设计实例

9.2.3比例型功分器

9.2.4比例型功分器设计实例

9.3Wilkinson功分器设计及仿真

9.3.1Wilkinson功分器设计

9.3.2电路仿真与优化

9.3.3版图仿真

9.4思考题

第10章微波滤波器的设计与仿真

10.1滤波器的基本原理

10.2利用传统法设计集总参数滤波器

10.2.1低通滤波器设计及仿真

10.2.2带通滤波器设计及仿真

10.3利用ADS工具设计集总参数滤波器

10.3.1滤波器电路的生成

10.3.2滤波器的仿真

10.4分布参数滤波器设计

10.4.1微带带通滤波器的设计

10.4.2电路优化及仿真

10.5思考题

第11章低噪声放大器设计与仿真

11.1低噪声放大器的基本原理

11.2低噪声放大器的设计

11.2.1晶体管直流工作点扫描

11.2.2晶体管S参数扫描

11.2.3输入阻抗测试

11.2.4输入匹配设计

11.2.5输出匹配设计

11.2.6完整原理图

11.3思考题

第12章镜像抑制混频器的设计与仿真

12.1混频器的工作原理

12.2镜像抑制混频器的设计

12.2.13dB定向耦合器设计

12.2.2低通滤波器设计

12.2.3完整的混频器电路

12.3镜像抑制混频器的仿真

12.3.1变量设置

12.3.2仿真器配置

12.3.3噪声系数仿真

12.3.4噪声系数分析

12.4思考题

第13章压控振荡器的设计与仿真

13.1振荡器的基本原理

13.1.1主要技术指标

13.1.2常用元件

13.2振荡器初始电路设计与仿真

13.2.1元件选取

13.2.2初始电路

13.2.3偏置电路

13.2.4优化仿真

13.3可变电容VC特性曲线测试

13.4压控振荡器仿真分析

13.4.1瞬时仿真

13.4.2参数调整

13.4.3谐波仿真

13.4.4振荡频率线性度分析

13.5思考题

第14章微带天线的设计与仿真

14.1微带天线的基础知识

14.2矩形微带天线

14.3矩形微带天线设计

14.3.1几何参数计算

14.3.2版图初步仿真

14.3.3匹配优化设计

14.3.4版图仿真

14.4思考题

第15章印刷偶极子天线的设计与仿真

15.1印刷偶极子天线简介

15.2设计过程

15.2.1天线模型建立

15.2.2优化仿真

15.3思考题

第16章ADS2012系统仿真

16.1收发信机基本理论

16.2零中频接收机仿真

16.2.1创建新项目

16.2.2射频前端电路的搭建

16.2.3接收机频带选择性仿真

16.2.4下变频电路的搭建

16.2.5模拟基带部分电路的搭建

16.2.6接收机信道选择性仿真

16.2.7接收机系统预算增益仿真

16.2.8接收机下变频分析

16.3超外差接收机仿真

16.3.1本振输出功率对接收机性能的影响

16.3.2整机增益随本振功率的变化

16.4发射机预算增益仿真

16.5思考题

第17章基于DSPDesign的设计

17.1DSP设计的基本理论

17.2DSPDesign的重要概念和特征

17.2.1位到符号表的转换

17.2.2不同的映射方法实现位到符号表的转换

17.2.3信号类型

17.2.4数据流仿真的方法

17.316QAM调制/解调模块设计

17.3.1理想升余弦滤波器特性

17.3.2设计一个数字调制器

17.3.3设计一个数字解调器

17.3.4噪声和失真的影响测试

17.4思考题

第18章W—CDMA/3GPP通信系统设计

18.13GPP关键技术概述

18.1.13G通信网络发展趋势及特点

18.1.23G主流标准概述

18.2W—CDMA/3GPP通信系统仿真概述

18.3直接序列展频技术仿真

18.3.1扰码技术仿真

18.3.2交织码技术仿真

18.3.3扩频技术仿真

18.4基站信号仿真

18.4.1逻辑信道仿真

18.4.2射频功率测量

18.4.3CCDF测量

18.4.4利用WCDMA3G_CCDF测量

18.4.5非线性电路对频谱和CCDF的影响

18.5用户设备信号仿真

18.5.1逻辑信道仿真

18.5.2射频功率测量

18.5.3CCDF测量

18.6用户设备发射机仿真

18.6.1UE发射机模块

18.6.2最大发射功率

18.6.3CCDF测量

18.6.4占有带宽

18.6.5频谱杂散模板

18.6.6相邻频道泄漏比

18.6.7误差向量幅度

18.6.8码域功率谱

18.6.9峰值码域误差

18.7用户设备接收机仿真

18.7.1UE接收机模块

18.7.2CCDF测量

18.7.3参考灵敏度

18.7.4最大输入电平

18.7.5邻道选择性

18.7.6阻塞特性测试

18.8思考题

参考文献

查看详情

ADS2012射频电路设计与仿真造价信息

  • 市场价
  • 信息价
  • 询价

ADT视分配设备

  • ADT62824L描述:8进24出,采用专用集成电路,图像质量好,抗感应雷击.19英寸1U标准分配器;系列:ADT8000/8200/8200S系列;
  • ADT
  • 13%
  • 深圳市深安立通科技有限公司
  • 2022-12-06
查看价格

ADT视分配设备

  • ADT621648L(2U)描述:16进48出,采用专用集成电路,图像质量好,抗感应雷击.19英寸2U标准分配器;系列:ADT8000/8200/8200S系列;
  • ADT
  • 13%
  • 深圳市深安立通科技有限公司
  • 2022-12-06
查看价格

ADT视分配设备

  • ADT623264L(2U)描述:32进64出,采用专用集成电路,图像质量好,抗感应雷击.19英寸2U标准分配器;系列:ADT8000/8200/8200S系列;
  • ADT
  • 13%
  • 深圳市深安立通科技有限公司
  • 2022-12-06
查看价格

ADT视分配设备

  • ADT621664L(2U)描述:16进64出,采用专用集成电路,图像质量好,抗感应雷击.19英寸2U标准分配器;系列:ADT8000/8200/8200S系列;
  • ADT
  • 13%
  • 深圳市深安立通科技有限公司
  • 2022-12-06
查看价格

EBK系列海尔5p变落地式柜式防爆空调

  • EBK
  • 二工防爆
  • 13%
  • 二工防爆科技股份有限公司
  • 2022-12-06
查看价格

  • kW·h
  • 梅州市大埔县2022年2季度信息价
  • 建筑工程
查看价格

  • kW·h
  • 梅州市蕉岭县2022年2季度信息价
  • 建筑工程
查看价格

  • kW·h
  • 梅州市大埔县2022年1季度信息价
  • 建筑工程
查看价格

  • kW·h
  • 梅州市蕉岭县2022年1季度信息价
  • 建筑工程
查看价格

  • kW·h
  • 梅州市大埔县2021年3季度信息价
  • 建筑工程
查看价格

射频电路

  • SDVC-75-5
  • 210m
  • 1
  • 含税费 | 含运费
  • 2010-10-26
查看价格

流程设计套件

  • 拼插式结构,易于组装和拆卸,可重复使用.由各种颗粒、轴承、轴、轮、齿轮、连接件特殊件组成,用ABS材料制作.能搭建火中逃生、盖房子、积木分拣流程的设计优化、积木分拣流程的优化等活动项目.
  • 28套
  • 2
  • 中高档
  • 含税费 | 含运费
  • 2020-04-10
查看价格

电路接驳

  • 含炉灶、蒸柜、冰箱、风机等
  • 1项
  • 1
  • 中档
  • 含税费 | 含运费
  • 2022-07-05
查看价格

电路改造

  • 满足项目设备电路应用,敷设6平方50米220V缆,含配控制开关、插座等;
  • 1项
  • 3
  • 中档
  • 含税费 | 含运费
  • 2021-12-08
查看价格

电路游戏2

  • 展项由展台、手柄、导线及温度计等组成.摇动发机摇柄,速度越快,产生的流越大,温度升高的越快;导线越长,阻越大,温度升高越快.流流经线、器等部位时,因本身的阻因素,引起线、器等发热现象.
  • 1项
  • 1
  • 中高档
  • 不含税费 | 含运费
  • 2022-09-16
查看价格

ADS2012射频电路设计与仿真编辑推荐

ADS是领先的电子设计自动化软件,适用于射频、微波和信号完整性应用。本书通过大量实例讲解ADS射频电路仿真和设计的基本过程、方法与技巧。

查看详情

ADS2012射频电路设计与仿真基本介绍

内容简介

ADS是领先的电子设计自动化软件,适用于射频、微波和信号完整性应用。本书通过大量实例讲解ADS射频电路仿真和设计的基本过程、方法与技巧。

作者简介

冯新宇副教授,具有丰富的嵌入式系统和射频电路研发和教学实战经验,承担并完成了多个嵌入式系统和射频电路设计等方向重点科研项目。

查看详情

ADS2012射频电路设计与仿真常见问题

查看详情

ADS2012射频电路设计与仿真内容简介

本书以“使广大学生和工程技术人员掌握先进的电子电路设计和实验方法,适应现代电子电路设计自动化潮流,初步具备电子电路设计和测试能力”为目标,由浅入深地介绍了利用ADS2012软件进行电路设计和仿真的方法和技巧,并通过大量实例讲解电路仿真和设计的基本过程。同时各章还配备了思考题,通过“学、例、练”的方式,加深读者对知识的学习和运用能力。

查看详情

ADS2012射频电路设计与仿真作者简介

冯新宇副教授,具有丰富的嵌入式系统和射频电路研发和教学实战经验,承担并完成了多个嵌入式系统和射频电路设计等方向重点科研项目。

查看详情

ADS2012射频电路设计与仿真文献

EDA软件在射频电路设计中的应用 EDA软件在射频电路设计中的应用

EDA软件在射频电路设计中的应用

格式:pdf

大小:1.8MB

页数: 2页

本文首先介绍了Microwave Office软件的主要功能,接着以一个射频低通滤波器为例,说明了利用软件辅助的设计过程。根据给定的参数指标,生成原型滤波器电路图,经计算得到实际结构的射频低通滤波器,对电路进行仿真分析并优化,最后生成滤波器的布线视图。

射频电路8_平面传输线20101009 射频电路8_平面传输线20101009

射频电路8_平面传输线20101009

格式:pdf

大小:1.8MB

页数: 66页

射频电路8_平面传输线20101009

微波射频电路设计与仿真100例内容简介

《微波射频电路设计与仿真100例》以微波仿真设计EDA软件ADS、HFSS等为基础,结合工程设计实践,例举了100个射频电路设计实例。从工程设计仿真实践角度出发,覆盖了射频有源器件设计、无源器件设计、射频收发信机设计等主要方向,书中实例丰富翔实,并且在例举的实例中详细介绍了设计仿真全过程。通过《微波射频电路设计与仿真100例》读者可以学习到射频电路的常见器件及其设计仿真方法,以及工程设计思路和技巧。

查看详情

ADS2009射频电路设计与仿真内容简介

《ADS2009射频电路设计与仿真》主要介绍利用ADS2009软件进行射频电路设计和仿真的方法。全书分为基础篇、提高篇和扩展篇3部分,通过大量工程实例,由浅入深、系统地介绍常用射频电路的基础知识及设计方法。本书取材广泛,内容新颖,系统性强,是广大射频电路设计工程师的必备参考书,也可作为高等学校电子信息、射频通信相关专业的教学用书。

本书主要内容包括ADS软件基础知识、直流仿真、交流仿真、S参数仿真、谐波平衡法仿真、电路包络仿真、功分器、滤波器、低噪声放大器、功率放大器、混频器、振荡器、微带天线、印刷偶极子天线、通信系统、DSP、3G系统等仿真实例,涵盖范围广,工程实用性强。

查看详情

ADS2008射频电路设计与仿真实例编辑推荐

本书取材广泛,内容新颖,系统性强,是广大射频电路设计工程师的必备参考书,也可作为大专院校电子信息、射频通信相关专业教学参考书。它主要介绍使用ADS2008进行射频电路设计和仿真的方法,书中包含了大量工程实例,包括匹配电路、滤波器、低噪声放大器、功率放大器、混频器、频率合成器、功分器、耦合器、射频控制电路、RFIC电路、TDR电路、通信电路等仿真实例,最后还介绍了Momentu m电磁仿真和微带天线仿真的方法及工程实例,涵盖范围广,工程实用性强。

查看详情

相关推荐

立即注册
免费服务热线: 400-888-9639