选择特殊符号

选择搜索类型

热门搜索

首页 > 百科 > 建设工程百科

可编程逻辑器件

可编程逻辑器件 英文全称为:programmable logic device 即 PLD。PLD是做为一种通用集成电路产生的,他的逻辑功能按照用户对器件编程来确定。一般的PLD的集成度很高,足以满足设计一般的数字系统的需要。

可编程逻辑器件基本信息

可编程逻辑器件对比

PLD有可编程只读存储器(PROM)、可擦除可编程存储器(EPROM)、可编程逻辑阵列(简称PLA)、可编阵列逻辑(简称PAL)和通用阵列逻辑(简称GAL)等几种。它们的结构特点和功能列于表中。

PLA的总体结构与PROM类似,也由与门阵列、或门阵列和输出缓冲器组成;它的与门阵列是可编程的。在产生同样的组合逻辑函数时,使用PLA比使用PROM节省与门阵列和或门阵列中的单元数。

有的PAL器件为寄存器输出结构,所以用PAL不仅能构成组合逻辑电路,也能构成时序逻辑电路。GAL的输出宏逻辑单元有不同的工作模式,并允许通过编程选定。这些工作模式包括了PAL的各种输出结构。GAL更具通用性。PAL和GAL的编程工作比较复杂,需使用专门的开发工具(包括编程器和编程语言)进行。这些开发工具使用起来很方便。

查看详情

可编程逻辑器件造价信息

  • 市场价
  • 信息价
  • 询价

数字式可编程开关量模块

  • 4路20A/路数字式可编程开关量模块;标准Modbus协议;220V;DIN35mm导轨式安装
  • 大峡谷
  • 13%
  • 大峡谷照明系统(苏州)股份有限公司
  • 2022-12-06
查看价格

M241可编程逻辑控制器

  • 品种:M241可编程逻辑控制器;系列名称:M241;规格型号:TM241C40T;产品说明:40点IO,源型输出;
  • 施耐德
  • 13%
  • 博可思(天津)科技有限公司
  • 2022-12-06
查看价格

M241可编程逻辑控制器

  • 品种:M241可编程逻辑控制器;系列名称:M241;规格型号:TM241C24R;产品说明:24点IO,继电器输出;
  • 施耐德
  • 13%
  • 博可思(天津)科技有限公司
  • 2022-12-06
查看价格

M241可编程逻辑控制器

  • 品种:M241可编程逻辑控制器;系列名称:M241;规格型号:TM241C80RPAC;产品说明:80点IO,继电器输出;
  • 施耐德
  • 13%
  • 博可思(天津)科技有限公司
  • 2022-12-06
查看价格

小型可编程逻辑控制器

  • 1764-MM1RTC
  • A-B MicroLogix
  • 13%
  • 上海曦龙电气设备有限公司
  • 2022-12-06
查看价格

可编程联动控制器

  • JL128-2
  • 湛江市2005年2月信息价
  • 建筑工程
查看价格

可编程联动控制器

  • JL128-2
  • 湛江市2005年1月信息价
  • 建筑工程
查看价格

逻辑分析仪

  • K2016通道
  • 台班
  • 韶关市2010年7月信息价
  • 建筑工程
查看价格

编程软件

  • AFN-FR5058
  • 湛江市2005年1月信息价
  • 建筑工程
查看价格

火灾报警控制器集成应用编程接口

  • GST-OOM
  • 茂名市2009年6月信息价
  • 建筑工程
查看价格

可编程逻辑控制器

  • 中高档进口知名品牌PLC PLC相当于AB CoMPactLogix L32E或GE 90-30系列或SCHNEIDER Premium系列PLC
  • 624套
  • 1
  • 西门子
  • 高档
  • 不含税费 | 含运费
  • 2015-11-09
查看价格

可编程逻辑控制器

  • 中高档进口知名品牌PLC
  • 3947套
  • 1
  • 西门子
  • 高档
  • 不含税费 | 不含运费
  • 2015-05-08
查看价格

可编程逻辑控制器

  • 本机I/O:14通道24VDC输入/10通道晶体管输出;可连接扩展模块数量:4个;电源规格:输入电源电压24V DC;电源允许范围:21-27VDC;电流消耗(MAX):1300mA输出电源:输出电压 24VDC;允许范围:22.8-25.2VDC;通讯接口:1个RS232;通讯协议:专有协议/MODBUS RTU协议/自由协议尺寸规格:≤125mm(L)×90mm(W)×70(H)
  • 12套
  • 2
  • 西门子、AB
  • 中高档
  • 含税费 | 含运费
  • 2020-06-14
查看价格

可编程逻辑控制器

  • 本机I/O:14通道24VDC输入/10通道晶体管输出;可连接扩展模块数量:4个;电源规格:输入电源电压24V DC;电源允许范围:21-27VDC;电流消耗(MAX):1300mA输出电源:输出电压 24VDC;允许范围:22.8-25.2VDC;通讯接口:1个RS232;通讯协议:专有协议/MODBUS RTU协议/自由协议尺寸规格:≤125mm(L)×90mm(W)×70(H)
  • 12套
  • 1
  • 施耐德
  • 中高档
  • 含税费 | 含运费
  • 2020-06-04
查看价格

PLC(可编程逻辑控制器)

  • AI点12,AO点12,DI点48,DO点32
  • 1套
  • 3
  • 不含税费 | 不含运费
  • 2016-09-19
查看价格

可编程逻辑器件概念

这样就可以由设计人员自行编程而把一个数字系统"集成"在一片PLD上,而不必去请芯片制造厂商设计和制作专用的集成电路芯片了。

PLD与一般数字芯片不同的是:PLD内部的数字电路可以在出厂后才规划决定,有些类型的PLD也允许在规划决定后再次进行变更、改变,而一般数字芯片在出厂前就已经决定其内部电路,无法在出厂后再次改变,事实上一般的模拟芯片、混讯芯片也都一样,都是在出厂后就无法再对其内部电路进行调修。

查看详情

可编程逻辑器件采用

​PLD的另一个关键优点是在设计阶段中客户可根据需要修改电路,直到对设计工作感到满意为止。 这是因为PLD基于可重写的存储器技术--要改变设计,只需要简单地对器件进行重新编程。 一旦设计完成,客户可立即投入生产,只需要利用最终软件设计文件简单地编程所需要数量的PLD就可以了。

可编程逻辑器件的两种主要类型是现场可编程门阵列(FPGA)和复杂可编程逻辑器件(PLD)。 在这两类可编程逻辑器件中,FPGA提供了最高的逻辑密度、最丰富的特性和最高的性能。 现在最新的FPGA器件,如Xilinx Virtex系列中的部分器件,可提供八百万"系统门"(相对逻辑密度)。 这些先进的器件还提供诸如内建的硬连线处理器(如IBM Power PC)、大容量存储器、时钟管理系统等特性,并支持多种最新的超快速器件至器件(device-to-device)信号技术。 FPGA被应用于范围广泛的应用中,从数据处理和存储,以及到仪器仪表、电信和数字信号处理等。

与此相比,PLD提供的逻辑资源少得多 - 最高约1万门。 但是,PLD提供了非常好的可预测性,因此对于关键的控制应用非常理想。 而且如Xilinx CoolRunner系列PLD器件需要的功耗极低。

查看详情

可编程逻辑器件常见问题

查看详情

可编程逻辑器件例如

仅仅数年前,最大规模的FPGA器件也仅仅为数万系统门,工作在40 MHz。 过去的FPGA也相对较贵,当时最先进的FPGA器件大约要150美元。 然而,今天具有最先进特性的FPGA可提供百万门的逻辑容量、工作在300 MHz,成本低至不到10美元,并且还提供了更高水平的集成特性,如处理器和存储器。

同样重要的是,PLD至今有越来越多的知识产权(IP)核心库的支持 - 用户可利用这些预定义和预测试的软件模块在PLD内迅速实现系统功能。 IP核心包括从复杂数字信号处理算法和存储器控制器直到总线接口和成熟的软件微处理器在内的一切。 此类IP核心为客户节约了大量时间和费用 - 否则,用户可能需要数月的时间才能实现这些功能,而且还会进一步延迟产品推向市场的时间。

查看详情

可编程逻辑器件发展前景

过去几年时间里,可编程逻辑供应商取得了巨大的技术进步,以致至今PLD被众多设计人员视为是逻辑解决方案的当然之选。 能够实现这一点的重要原因之一是象Xilinx这样的PLD供应商是"无晶圆制造厂"企业,并不直接拥有芯片制造工厂,Xilinx将芯片制造工作外包给IBM Microelectronics 和 UMC这样的主要业务就是制造芯片的合作伙伴。 这一策略使Xilinx可以集中精力设计新产品结构、软件工具和IP核心,同时还可以利用最先进的半导体制造工艺技术。 先进的工艺技术在一系列关键领域为PLD提供了帮助:更快的性能、集成更多功能、降低功耗和成本等。 至今Xilinx采用先进的0.13um 低K铜金属工艺生产可编程逻辑器件,这也是业界最好的工艺之一。

查看详情

可编程逻辑器件分类

逻辑器件可分为两大类 - 固定逻辑器件和可编程逻辑器件。 一如其名,固定逻辑器件中的电路是永久性的,它们完成一种或一组功能 - 一旦制造完成,就无法改变。 另一方面,可编程逻辑器件(PLD)是能够为客户提供范围广泛的多种逻辑能力、特性、速度和电压特性的标准成品部件 - 而且此类器件可在任何时间改变,从而完成许多种不同的功能。

对于固定逻辑器件,根据器件复杂性的不同,从设计、原型到最终生产所需要的时间可从数月至一年多不等。 而且,如果器件工作不合适,或者如果应用要求发生了变化,那么就必须开发全新的设计。 设计和验证固定逻辑的前期工作需要大量的"非重发性工程成本",或NRE。 NRE表示在固定逻辑器件最终从芯片制造厂制造出来以前客户需要投入的所有成本,这些成本包括工程资源、昂贵的软件设计工具、用来制造芯片不同金属层的昂贵光刻掩模组,以及初始原型器件的生产成本。 这些NRE成本可能从数十万美元至数百万美元。

对于可编程逻辑器件,设计人员可利用价格低廉的软件工具快速开发、仿真和测试其设计。 然后,可快速将设计编程到器件中,并立即在实际运行的电路中对设计进行测试。 原型中使用的PLD器件与正式生产最终设备(如网络路由器、ADSL调制解调器、DVD播放器、或汽车导航系统)时所使用的PLD完全相同。 这样就没有了NRE成本,最终的设计也比采用定制固定逻辑器件时完成得更快。

查看详情

可编程逻辑器件特点

固定逻辑器件和PLD各有自己的优点。 例如,固定逻辑设计经常更适合大批量应用,因为它们可更为经济地大批量生产。 对有些需要极高性能的应用,固定逻辑也可能是最佳的选择。

然而,可编程逻辑器件提供了一些优于固定逻辑器件的重要优点,包括:PLD在设计过程中为客户提供了更大的灵活性,因为对于PLD来说,设计反复只需要简单地改变编程文件就可以了,而且设计改变的结果可立即在工作器件中看到。

PLD不需要漫长的前置时间来制造原型或正式产品 - PLD器件已经放在分销商的货架上并可随时付运。 PLD不需要客户支付高昂的NRE成本和购买昂贵的掩模组- PLD供应商在设计其可编程器件时已经支付了这些成本,并且可通过PLD产品线延续多年的生命期来分摊这些成本。

PLD允许客户在需要时仅订购所需要的数量,从而使客户可控制库存。 采用固定逻辑器件的客户经常会面临需要废弃的过量库存,而当对其产品的需求高涨时,他们又可能为器件供货不足所苦,并且不得不面对生产延迟的现实。

PLD甚至在设备付运到客户那儿以后还可以重新编程。 事实上,由于有了可编程逻辑器件,一些设备制造商至今正在尝试为已经安装在现场的产品增加新功能或者进行升级。 要实现这一点,只需要通过因特网将新的编程文件上载到PLD就可以在系统中创建出新的硬件逻辑。

查看详情

可编程逻辑器件文献

用大规模可编程逻辑器件实现湿度检测 用大规模可编程逻辑器件实现湿度检测

用大规模可编程逻辑器件实现湿度检测

格式:pdf

大小:628KB

页数: 3页

本文阐述了用CPLD实现湿度检测的系统设计要求、设计思路,给出系统框图,并对CPLD芯片进行模块划分,即控制模块、计算模块、显示模块,运用VHDL语言对上述3个模块进行硬件描述,将程序编译后进行仿真,结合仿真图说明程序设计的正确性。

可编程逻辑器件在抢答器电路设计中的应用 可编程逻辑器件在抢答器电路设计中的应用

可编程逻辑器件在抢答器电路设计中的应用

格式:pdf

大小:628KB

页数: 5页

针对实际应用的需要,利用可编程逻辑器件设计了抢答器.该抢答器单元电路的软件设计分别利用原理图设计、硬件描述语言设计完成.设计了控制主电路、数字显示电路、倒计时显示、违犯规定电路、编码译码电路功能,并利用美国Altera公司的MAX-PLUSII工具软件完成了编译仿真验证;硬件选择Altera的MAX74000S系列的EPM7128LC84-15芯片来实现抢答器的系统功能.该抢答器具有很强的功能扩充性,应用效果良好.

可编程逻辑器件设计项目教程图书信息

上架日期:2012-2-14 15:58:00

定价:37.00

查看详情

可编程逻辑器件设计项目教程目录

目录

出版说明

前言

项目1八位全加器设计

1?1项目描述

1?1?1项目要求

1?1?2项目能力目标

1?2项目分析

1?2?1项目设计分析

1?2?2项目实施分析

1?3项目实施

1?3?1任务1原理图设计输入

1?3?2任务2项目编译与仿真

1?3?3任务3器件的编程与配置

1?4项目评价

1?5项目练习

1?5?1填空题

1?5?2单项选择题

1?5?3简答题

1?5?4操作题

项目23?8译码器设计

2?1项目描述

2?1?1项目要求

2?1?2项目能力目标

2?2项目分析

2?2?13?8译码器电路工作原理分析

2?2?2项目实施分析

2?3项目实施

2?3?1任务1VHDL语言程序

输入与编译

2?3?2任务2电路仿真及

功能下载

2?4项目评价

2?5项目练习

2?5?1填空题

2?5?2简答题

2?5?3综合题

项目3八位数字频率计设计

3?1项目描述

3?1?1项目要求

3?1?2项目能力目标

3?2项目分析

3?2?1项目设计分析

3?2?2项目实施分析

3?3项目实施

3?3?1任务1混合设计输入

3?3?2任务2项目编译与器件的

编程配置

3?4项目评价

3?5项目练习

3?5?1简答题

3?5?2操作题

项目4数字钟系统综合设计

4?1项目描述

4?1?1项目要求

4?1?2项目能力目标

4?2项目分析

4?2?1项目设计分析

4?2?2项目实施分析

4?3项目实施

4?3?1任务1混合设计输入

4?3?2任务2项目编译与器件的

编程配置

4?4项目评价

4?5项目练习

4?5?1简答题

4?5?2操作题

项目5交通灯控制器设计

5?1项目描述

5?1?1项目要求

5?1?2项目能力目标

5?2项目分析

5?2?1项目设计分析

5?2?2项目实施分析

5?3项目实施

5?3?1任务1文本设计输入

5?3?2任务2项目编译与器件的

编程配置

5?4项目评价

5?5项目练习

5?5?1简答题

5?5?2操作题

项目6正弦信号发生器设计

6?1项目描述

6?1?1项目要求

6?1?2项目能力目标

6?2项目分析

6?2?1项目设计分析

6?2?2项目实施分析

6?3项目实施

查看详情

CPLD应用技术与数字系统设计目录

第1章 可编程逻辑器件简介

1.1 可编程逻辑器件的发展

1.2 可编程逻辑器件分类

1.2.1 可编程逻辑器件按集成度的分类

1.2.2 可编程逻辑器件按结构的分类

1.2.3 可编程逻辑器件按编程工艺的分类

1.3 PLD的基本结构

1.3.1 与或阵列

1.3.2 宏单元

1.4 FPGA的基本结构

1.4.1 查找表型FPGA的结构

1.4.2 多路开关型FPGA的结构

1.4.3 多级与非门型FPGA的结构

1.5 先进的编程和测试技术

1.5.1 在系统可编程技术

1.5.2 边界扫描测试技术

思考与练习

第2章 ispLSI器件的结构与原理

2.1 ispLSI器件概述

2.1.1 ispLSI器件简介

2.1.2 ispLSI器件的主要技术特性

2.2 ispLSI器件的结构与原理

2.2.1 万能逻辑块GLB(Generic Logic Block)

2.2.2 集总布线区GRP(Global Routing Pool)

2.2.3 输入/ 输出单元IOC(Input/Output Cell)

2.2.4 输出布线区ORP(Output Routing Pool)

2.2.5 时钟分配网络CDN(Clock Distribution Network)

2.2.6 宏模块结构(Megablock)

2.3 ispLSI 1016的主要性能指标和封装

2.3.1 ispLSI 1016的主要性能指标

2.3.2 ispLSI/pLSI 1016的封装和引脚定义

思考与练习

第3章 ispLSI器件的编程

3.1 在系统编程技术原理

3.1.1 ispLSI器件的编程结构

3.1.2 ISP状态机

3.1.3 ISP编程的定时关系

3.2 ISP器件的编程方式

3.2.1 通过PC的I/O口编程

3.2.2 利用用户目标系统或线路板上的单片机或微处理器编程

3.2.3 多个ISP器件的编程

3.3 互连的在系统编程

3.3.1 ispGDS的结构与原理

3.3.2 ispGDS器件的编程

思考与练习

第4章 ABEL-HDL

4.1 ABEL-HDL的基本元素与语法

4.1.1 字符集

4.1.2 标识符

4.1.3 字符串

4.1.4 注释

4.1.5 操作数

4.1.6 运算符、表达式和方程

4.1.7 集合

4.1.8 特殊常量值

4.1.9 块

4.1.10 变量及变量代换

4.2 ABEL-HDL的语言结构

4.2.1 基本结构

4.2.2 文件头部

4.2.3 定义段

4.2.4 逻辑描述段

4.2.5 测试向量段

4.2.6 结束段

4.3 指示字

思考与练习

第5章 VHDL简介

5.1 概述

5.2 VHDL程序结构

5.2.1 VHDL程序的基本结构

5.2.2 实体说明

5.2.3 结构体

5.2.4 配置

5.2.5 程序包和库

5.3 VHDL的基本元素

5.3.1 标识符

5.3.2 数据对象

5.3.3 数据类型

5.3.4 属性

5.3.5 VHDL的表达式与运算符

5.4 VHDL的基本描述语句

5.4.1 顺序语句

5.4.2 并行语句

5.4.3 子程序

思考与练习

第6章 ispDesignEXPERT及其应用

6.1 可编程逻辑器件设计的一般方法

6.1.1 开发工具

6.1.2 器件设计的一般方法

6.2 ispDesignEXPERT设计软件

6.2.1 ispDesignEXPERT系统的设计环境

6.2.2 ispDesignEXPERT软件的基本命令

6.3 ispDesignEXPERT设计软件的应用

6.3.1 创建新项目

6.3.2 电路原理图的输入

6.3.3 ABEL-HDL文件的输入

6.3.4 建立顶层设计文件

6.3.5 层次化操作

6.3.6 编译和设计的实现

6.3.7 逻辑功能仿真(逻辑模拟)

6.3.8 时序仿真

6.3.9 仿真调试

6.3.10 引脚锁定

6.3.11 ISP器件的编程

6.4 VHDL输入设计方式

6.4.1 VHDL文件的输入

6.4.2 VHDL源程序的综合

6.4.3 仿真测试

6.4.4 引脚锁定和器件的编程

6.5 ispDesignEXPERT的文件后缀及含义

思考与练习

第7章 采用ISP器件的数字系统设计

7.1 采用ISP器件的数字系统设计方法

7.1.1 数字系统的设计过程

7.1.2 数字系统设计的基本方法

7.2 组合逻辑电路的设计

7.2.1 运用ABEL-HDL设计组合逻辑电路

7.2.2 运用VHDL设计组合逻辑电路

7.3 时序逻辑电路的设计

7.3.1 运用ABEL-HDL设计时序逻辑电路

7.3.2 运用VHDL设计时序逻辑电路

7.4 测试向量序列的编写

7.4.1 编写测试向量序列的基本方法

7.4.2 编写测试向量的技巧

7.5 数字系统设计

7.5.1 系统设计

7.5.2 采用电路原理图/ABEL-HDL描述系统功能

7.5.3 编译、仿真测试与适配

7.5.4 采用ABEL-HDL描述系统功能

7.5.5 采用电路原理图/VHDL描述系统功能

7.5.6 采用VHDL描述系统功能(一)

7.5.7 采用VHDL描述系统功能(二)

思考与练习

第8章 数字系统设计实例

8.1 智力竞赛抢答器的设计

8.1.1 抢答器的功能描述

8.1.2 抢答器的设计

8.1.3 采用电路原理图/ABEL-HDL描述的抢答器的设计

8.1.4 仿真与测试

8.1.5 采用ABEL-HDL描述的抢答器的设计

8.1.6 采用VHDL描述的抢答器的设计

8.2 交通信号灯控制器的设计

8.2.1 交通信号灯控制器的功能描述

8.2.2 交通信号灯控制器的设计

8.2.3 采用电路原理图/ABEL-HDL描述的交通信号灯控制器的设计

8.2.4 仿真与测试

8.2.5 采用VHDL描述的交通信号灯控制器的设计

8.3 简易电子乐器的设计

8.3.1 乐曲演奏电路的基本原理

8.3.2 简易电子乐器的功能描述

8.3.3 电子萨克斯管的设计

8.3.4 采用电路原理图/ABEL-HDL描述的电子萨克斯管的设计

8.3.5 采用VHDL描述的电子萨克斯管的设计

8.4 数字频率计的设计

8.4.1 数字频率计的功能描述

8.4.2 简易数字频率计的设计

8.4.3 采用电路原理图描述的简易数字频率计的设计

8.4.4 采用VHDL描述的简易数字频率计的设计

8.5 FIR数字滤波器的设计

8.5.1 FIR数字滤波器结构简介

8.5.2 FIR滤波器的设计方案

8.5.3 采用VHDL描述的FIR滤波器的设计

思考与练习

参考文献

查看详情

相关推荐

立即注册
免费服务热线: 400-888-9639