选择特殊符号

选择搜索类型

热门搜索

首页 > 百科 > 建设工程百科

数字电路EDA设计

《数字电路EDA设计》是2011年西安电子科技大学出版社出版的图书

数字电路EDA设计基本信息

数字电路EDA设计图书目录

第1章 绪论

1.1 概述

1.2 EDA技术的应用领域

1.3 EDA的设计步骤

1.4 TPOP-DOWN设计方法

1.5 硬件描述语言

1.5.1 ABEL-HDL

1.5.2 Verilog-HDL

1.5.3 VHDL

1.5.4 Verilog-HDL和VHDL的比较

1.6 可编程逻辑器件开发工具

1.6.1 ispLEVER

1.6.2 ISE

1.6.3 Quartus Ⅱ

1.7 IP核概述

第2章 CPLD、FPGA芯片结构

2.1 Altera公司CPLD芯片

2.1.1 概述

2.1.2 功能描述

2.1.3 逻辑阵列块

2.1.4 用户Flash存储区

2.2 Xilinx公司Virtex-5系列FPGA

2.2.1 概述

2.2.2 可配置逻辑块CLB

2.2.3 输入输出模块IOB

2.2.4 Block RAM

习题

第3章 数字电路EDA开发工具

用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。现代的数字电路由半导体工艺制成的若干数字集成器件构造而成。逻辑门是数字逻辑电路的基本单元。存储器是用来存储二值数据的数字电路。从整体上看,数字电路可以分为组合逻辑电路和时序逻辑电路两大类。

3.1 ModelSim的设计过程

3.1.1 新建工程与源文件

3.1.2 ModelSim仿真

3.2 Quartus Ⅱ的设计过程

3.2.1 设计输入

3.2.2 编译

3.2.3 编译前的约束设置

3.2.4 仿真前的参数设置

3.2.5 仿真

3.2.6 引脚分配

3.3 Quartus Ⅱ与ModelSim联合仿真

3.3.1 存储器初始化文件

3.3.2 MegaWizard Plus-In Manager定制ROM

3.3.3 Quartus Ⅱ与ModelSim联合仿真

习题

第4章 VHDL语言

4.1 VHDL概述

4.1.1 VHDL的特点

4.1.2 VHDL语言的程序结构

4.1.3 VHDL程序的一般结构

4.2 实体定义相关语句

4.2.1 类属参数说明语句

4.2.2 端口说明语句

4.3 结构体及子结构语句

4.3.1 结构体的格式及构造

4.3.2 子结构之块(BLOCK)语句结构

4.3.3 子结构之进程(PROCESS)语句结构

4.3.4 子结构之子程序FUNCTION语句结构

4.3.5 子结构之子程序PROCEDURE语句结构

4.4 程序包、库及配置

4.4.1 程序包

4.4.2 库

4.4.3 配置

4.5 VHDL的并行语句

4.5.1 简单信号赋值语句

4.5.2 选择信号赋值语句

4.5.3 条件信号赋值语句

4.5.4 元件例化语句

4.5.5 生成语句

4.6 VHDL中的顺序语句

4.6.1 顺序赋值语句

4.6.2 IF语句

4.6.3 CASE语句

4.6.4 WAIT语句

4.6.5 LOOP语句

4.7 VHDL语言的客体及其分类

4.7.1 常数

4.7.2 变量

4.7.3 信号

4.8 VHDL语言的标准数据类型

4.8.1 位

4.8.2 位矢量

4.8.3 布尔量

4.8.4 整数

4.8.5 实数

4.8.6 字符

4.8.7 字符串

4.8.8 时间

4.8.9 错误等级

4.9 VHDL用户定义的数据类型

4.9.1 枚举类型

4.9.2 整数类型

4.9.3 数组

4.9.4 用户自定义子类型

4.10 VHDI语言的运算操作符

4.10.1 逻辑运算符

4.10.2 算术运算符

4.10.3 关系运算符

习题

第5章 基本数字电路的EDA实现

5.1 基本门电路的设计

5.2 触发器的设计

触发器(trigger)是个特殊的存储过程,它的执行不是由程序调用,也不是手工启动,而是由事件来触发,比如当对一个表进行操作( insert,delete, update)时就会激活它执行。触发器经常用于加强数据的完整性约束和业务规则等。 触发器可以从 DBA_TRIGGERS ,USER_TRIGGERS 数据字典中查到。

5.3 编码器的设计

5.3.1 BCD编码器

5.3.2 格雷码编码器

5.4 译码器的设计

5.4.1 二进制译码器

5.4.2 数码显示译码器

5.5 计数器的设计

5.5.1 带使能、清零、预置功能的计数器

5.5.2 可逆计数器

5.5.3 进制计数器

5.6 移位寄存器的设计

5.6.1 串入串出移位寄存器

5.6.2 同步预置串行输出移位寄存器

5.6.3 循环移位寄存器

5.6.4 双向移位寄存器

5.7 有限状态机的设计

5.7.1 莫尔型状态机

5.7.2 米里型状态机

5.7.3 Quartus Ⅱ观察状态转换图

习题

第6章 典型数字系统设计

6.1 分频电路

6.1.1 偶数分频

6.1.2 奇数分频

6.1.3 X.5分频

6.1.4 6.5分频器的硬件验证

6.2 交通灯控制器

6.2.1 交通灯控制器的功能描述

6.2.2 交通灯控制器的实现

6.2.3 交通灯控制器的VHDL程序

6.2.4 交通灯控制器的硬件验证

6.3 数字频率计

6.3.1 测频原理

6.3.2 频率计的组成结构分析

6.3.3 频率计的VHDL程序

6.3.4 频率计的仿真结果

6.3.5 频率计的硬件验证

6.4 实用数字钟电路

6.4.1 分频模块

6.4.2 时钟产生模块

6.4.3 数码管显示驱动模块

6.4.4 数字钟的硬件验证

6.5 LCD接口控制电路

6.5.1 1602字符LCM的内部存储器

6.5.2 1602字符LCM的引脚

6.5.3 1602 LCM指令系统

6.5.4 1602 LCM控制过程

6.5.5 1602显示的硬件验证

6.6 串口通信

6.6.1 异步串口数据传送格式

6.6.2 用VHDL描述RS-232C串口

6.6.3 串口通信的VHDL程序仿真结果

6.6.4 串口通信的硬件验证

6.7 2FSK信号产生器

6.7.1 FSK基本原理

6.7.2 2FSK信号产生器

6.7.3 2FSK信号产生器的VHDL描述

6.7.4 2FSK的仿真结果

6.7.5 2FSK的硬件验证

习题

附录一 实验电路板结构图

附录二 实验板电气原理图

附录三 实验板EPM240管脚定义表

参考文献

查看详情

数字电路EDA设计造价信息

  • 市场价
  • 信息价
  • 询价

  • 功率(W):15;品种:普通型铃;防护等级:IP54;额定压(V):220
  • 正泰
  • 13%
  • 江西省士林电气实业有限公司
  • 2022-12-06
查看价格

  • 功率(W):15;品种:普通型铃;防护等级:IP54;额定压(V):220
  • 正泰
  • 13%
  • 正泰电气宣城总经销
  • 2022-12-06
查看价格

  • 42寸
  • 海尔
  • 13%
  • 深圳市首舟科技有限公司
  • 2022-12-06
查看价格

解板

  • 0.9*1219*C SECCN
  • t
  • 邯郸原厂
  • 13%
  • 佛山市顺德区盈通贸易有限公司
  • 2022-12-06
查看价格

解板

  • 0.8*1219*C SECC
  • t
  • 邯郸原厂
  • 13%
  • 佛山市顺德区盈通贸易有限公司
  • 2022-12-06
查看价格

  • kW·h
  • 梅州市大埔县2022年2季度信息价
  • 建筑工程
查看价格

  • kW·h
  • 梅州市蕉岭县2022年2季度信息价
  • 建筑工程
查看价格

  • kW·h
  • 梅州市大埔县2022年1季度信息价
  • 建筑工程
查看价格

  • kW·h
  • 梅州市蕉岭县2022年1季度信息价
  • 建筑工程
查看价格

  • kW·h
  • 梅州市大埔县2021年3季度信息价
  • 建筑工程
查看价格

电路接驳

  • 含炉灶、蒸柜、冰箱、风机等
  • 1项
  • 1
  • 中档
  • 含税费 | 含运费
  • 2022-07-05
查看价格

电路改造

  • 满足项目设备电路应用,敷设6平方50米220V缆,含配控制开关、插座等;
  • 1项
  • 3
  • 中档
  • 含税费 | 含运费
  • 2021-12-08
查看价格

射频电路

  • SDVC-75-5
  • 210m
  • 1
  • 含税费 | 含运费
  • 2010-10-26
查看价格

电路游戏2

  • 展项由展台、手柄、导线及温度计等组成.摇动发机摇柄,速度越快,产生的流越大,温度升高的越快;导线越长,阻越大,温度升高越快.流流经线、器等部位时,因本身的阻因素,引起线、器等发热现象.
  • 1项
  • 1
  • 中高档
  • 不含税费 | 含运费
  • 2022-09-16
查看价格

电路游戏2

  • 展项由展台、手柄、导线及温度计等组成.摇动发机摇柄,速度越快,产生的流越大,温度升高的越快;导线越长,阻越大,温度升高越快.流流经线、器等部位时,因本身的阻因素,引起线、器等发热现象.
  • 1项
  • 1
  • 高档
  • 不含税费 | 含运费
  • 2022-09-14
查看价格

数字电路EDA设计内容简介

《数字电路EDA设计(第2版)》以提高高校学生的数字电子系统工程设计能力为宗旨,对EDA技术基本知识、可编程逻辑器件的原理、硬件描述语言及其编程方法和数字电路EDA设计方法作了系统介绍。《数字电路EDA设计(第2版)》的特点是语言精练,实例丰富,深入浅出,注重实用,适合广大高职院校学生的特点和教学改革方向。《数字电路EDA设计(第2版)》共分6章,第1章为绪论,介绍EDA技术的基本知识;第2章以国内市场占有率最高的两类芯片,即Altera公司和Xilinx公司的典型芯片为例,介绍了CPLD与FPGA的基本原理;第3章介绍数字电路EDA开发工具,包含目前业界常用的工具软件ModelSim与QuartusⅡ的使用,以及二者联合使用的方法;第4章介绍了VHDL基本语法,并以具体实例解析VHDL的编程思想。第5章介绍基本逻辑电路的EDA实现方法,从语言编程、软件仿真、硬件验证三大步骤,对各类基本逻辑电路的EDA实现方法作了详细的阐述;第6章是典型数字系统设计,通过丰富实用的典型案例介绍多种数字系统的设计方法。

《数字电路EDA设计(第2版)》可作为高等职业院校电子类、通信类、电气类、计算机技术类等工科专业学生的数字逻辑电路、VHDL程序设计、EDA技术等相关课程的教材或相应实验课程的指导书,也可供从事数字电子系统设计的专业技术人员参考。

《数字电路EDA设计(第2版)》配有电子教案,有需要者可登录出版社网站下载。

查看详情

数字电路EDA设计常见问题

查看详情

数字电路EDA设计文献

基于EDA技术的数字电路课程设计实例分析 基于EDA技术的数字电路课程设计实例分析

基于EDA技术的数字电路课程设计实例分析

格式:pdf

大小:793KB

页数: 3页

本文叙述数字电路课程设计中引入EDA技术的必要性,并以MAX+PLUSⅡ软件设计多功能数字钟为实例,阐述EDA技术的层次化设计方法,多种输入方式。实践表明,该设计方法灵活快捷,可设计性能优良、运行稳定的数字系统;也为数字电路课程设计提供一条有效途径。

数字电路课程设计中EDA技术的应用 数字电路课程设计中EDA技术的应用

数字电路课程设计中EDA技术的应用

格式:pdf

大小:793KB

页数: 2页

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机完成编辑仿真,并对目标芯片进行编程。本文对如何将EDA技术运用于数字电路课程设计进行了探讨,利用E-DA软件的仿真测试技术可以改变传统的电路设计模式,实现了良好的教学效果,提高了学生的实践能力。

数字电路EDA入门-VHDL程序实例集图书概述

本书是数字电路电子设计自动化(EDA)入门的工具书,其内容主要包括:用VHDL设计的基本组合电路、时序电路、数字综合电路、电路图输入法要领概述、实用VHDL语句等;附录部分介绍了VHDL基本知识和基本术语,中小规模集成电路等 。

本书提供的所有程序代码都经过MAX+plus Ⅱ9.23软件和PLD器件的编译、仿真、下载和实际测量,可以作为进一步开发的参考。大部分实例电路都是在设计数字电路时经常使用的电路;本书为那些想快速步入EDA设计大门的读者提供了一个仿制、借鉴、156 研究、创新的良好工作平台。

查看详情

EDA技术与WHDL设计目录

第1章EDA技术概述

1.1 EDA技术及其发展历程

1.2 EDA技术的特征和优势

1.2.1 EDA技术的基本特征

1.2.2 EDA技术的优势

1.3 EDA设计的目标和流程

1.3.1 EDA技术的实现目标

1.3.2 EDA设计流程

1.3.3 数字集成电路的设计

1.3.4 模拟集成电路的设计

1.4 EDA技术与ASIC设计

1.4.1 ASIC的特点与分类

1.4.2 ASIC的设计方法

1.4.3 SoC设计

1.5硬件描述语言

1.5.1 VHDI

1.5.2 VerilogHDL

1.5.3 ABEL-HDL

1.5.4 Verilog HDL和VHDL的比较

1.6 EDA设计工具

1.6.1 EDA设计工具分类

1.6.2 EDA公司与工具介绍

1.7 EDA技术的发展趋势

习题1

第2章可编程逻辑器件基础

2.1 概述

2.1.1 可编程逻辑器件发展历程

2.1.2 可编程逻辑器件分类

2.1.3 可编程逻辑器件的优势

2.1.4 可编程逻辑器件的发展趋势

2.2 PLD器件的基本结构

2.2.1 基本结构

2.2.2 电路符号

2.2.4 PLA

2.2.5 PAL

2.2.6 GAL

2.3 CPLD/PPGA的结构特点

2.3.1 Lauice公司的CPLD/FPGA

2.3.2 Xilinx公司的CPLD/FPGA

2.3.3 Altera和Acrel公司的CPLD/FPGA

2.3.4 CPLD和FPGA的异同

2.4 可编程逻辑器件的基本资源

2.4.1 功能单元

2.4.2 输入一输出焊盘

2.4.3 布线资源

2.4.4 片内RAM

2.5 可编程逻辑器件的编程器件

2.5.1 熔丝型开关

2.5.2 反熔丝型开关

2.5.3 浮栅编程器件

2.5.4 基于SRAM的编程器件

2.6 可编程逻辑器件的设计与开发

2.6.1 CPLD/FPGA设计流程

2.6.2 CPLD/FPGA开发工具

2.6.3 CPLD/FPGA的应用选择

2.7 可编程逻辑器件的测试技术

2.7.1 边界扫描测试原理

2.7.2 IEEE 1149.1标准

2.7.3 边界扫描策略及相关工具

习题2

第3章典型FPGA/CPLD的结构与配置

3.1 Stratix高端FPGA系列

3.1.1 Stratix器件

3.1.2 StratixⅡ器件

3.2 Cyclone低成本FPGA系列

3.2.1 Cyclone器件

3.2.2 CycloneⅡ器件

3.3 ACEX 1K器件

3.4 典型CPLD器件

3.4.1 MAXⅡ器件

3.4.2 MAX 7000器件

3.5 FPGA/CPLD的配置

3.5.1 CPLD器件的配置

3.5.2 FPGA器件的配置

习题3

第4章原理图与宏功能模块设计

4.1 QuartusⅡⅡ原理图设计

4.1.1 半加器原理图输入

4.1.2 半加器编译

4.1.3 半加器仿真

4.1.4 全加器设计与仿真

4.2 Quartus Ⅱ的优化设置

4.2.1 Setting设置

4.2.2 分析与综合设置

4.2.3 优化布局布线

4.2.4 使用设计助手检查设计可靠性

4.3 Quartus Ⅱ的时序分析

4.3.1 时序设置与分析

4.3.2 时序逼近

4.4宏功能模块设计

4.4.1 Megafumctions库

4.4.2 Maxplus2库

4.4.3 Primitives库

习题4

第5章VHDL设计输入方式

5.1 Quartus Ⅱ的V10DL输入设计

5.1.1 创建工程文件

5.!.2 编译

5.1.3 仿真

5.2 Synplify Pro的VHDL输入设计

5.2.1 用Synplify Pro综合的过程

5.2.2 Synplify Pro与Quarttls Ⅱ的接口

5.3 Synplify的VHDL输入设计

习题5

第6章VHDL结构与要素

6.1 实体

6.1.1 类属参数说明

6.1.2 端口说明

6.1.3 实体描述举例

6.2 结构体

6.2.1 结构体的命名

6.2.2 结构体信号定义语句

6.2.3 结构体功能描述语句

6.2.4 结构体描述方法

6.3 VHDL库

6.3.1 库的种类

6.3.2库的用法

6.4 VHDL程序包

6.4.1 程序包组成和格式

6.4.2 VHDL标准程序包

6.5 配置

6.5.1 默认配置

6.5.2 结构体的配置

6.6 VHDL文字规则

6.6.1 标识符

6.6.2 数字

6.6.3 字符串

6.7 VHDL数据类型

6.7.1 预定义数据类型

6.7.2 自定义数据类型

6.7.3 用户自定义的子类型

6.7.4 数据类型的转换

6.8 VHDL操作符

6.8.1 逻辑操作符

6.8.2 关系操作符

6.8.3 算术运算符

6.8.4 并置操作符

6.8.5 运算符重载

6.9 数据对象

6.9.1 常量

6.9.2 变量

习题6

第7章VHDL基本语句与基本设计

7.1 顺序语句

7.1.1 赋值语句

7.1.2 IF语句

7.1.3 CASE语句

7.1.4 LOOP语句

7.1.5 NEXT语句

7.1.7 WAIT语句

7.1.8 子程序调用语句

7.2 并行语句

7.2.1 并行信号赋值语句

7.2.2 进程语句

7.2.3 并行过程调用语句

7.2.4 元器件例化语句

7.2.5 生成语句

7.3 VHDL组合逻辑电路设计

7.4 VHDL时序逻辑电路设计

7.4.1 触发器

7.4.2 寄存器

7.4.3 计数器

7.4.4 分频器

习题7

第8章VHDL设计进阶

8.1 Ⅵ{DL行为描述方式

8.2 ⅧDL结构化描述方式

8.3 Ⅵ{DLRTL描述方式

8.4 有限状态机(FSM)设计

8.4.1 Moore和Mealy状态机的选择

8.4.2 有限状态机的描述方式

8.4.3 有限状态机的同步和复位

8.4.4 改进的.Moore型有限状态机

8.4.5 小结

习题8

第9章数字接口实例及分析

9.1 ST-BUS总线接口设计

9.1.1 ST-BUS总线时序关系

9.1.2 ST-BUS总线接口实例

9.2 数字复接分接接口技术及设计

9.2.1 数字复接分接接口技术原理

9.2.2 同步数字复接分接接口设计实例

9.3 I2C接口设计

9.3.1 I2C总线工作原理

9.3.2 I2C总线接U设计实例

9.4 Uart控制器设计

9.4.1 Uart控制器原理

9.4.2 Uart控制器部分模块代码

习题9

第10章通信算法实例及分析

10.1 伪随机序列的产生、检测设计

10.1.1 m序列的产生

10.1.2 m序列的性质

10.1.3 m序列发生器的VHDL设计

10.1.4 m序列检测电路的VHDL设计

10.2 比特同步设计

10.2.1 锁相功能的自同步法原理

10.2.2 锁相比特同步的EDA实现方法

10.3 基带差分编码设计

10.3.1 PSK调制和差分编码原理

10.3.2 PSK差分编码设计

10.4 FIR滤波器设计

10.4.1 FIR滤波器简介

10.4.2 使用MATLAB设计FIR滤波器

10.4.3 FIR滤波器的FPGA普通设计

10.4.4 FIR滤波器的并行FPGA优化设计

习题10

附录A EDA实验系统简介

参考文献

……

查看详情

数字电路EDA入门-VHDL程序实例集图书信息

版 次:1

定 价:¥17.0元

查看详情

相关推荐

立即注册
免费服务热线: 400-888-9639