首页 > 电气工程 > 电气问题8.19-5

头条推荐

电气问题8.19-5

2024.06.24

精品文献

电气问题8.19-5
电气细部节点做法2019.11.8(定稿)(5)

电气细部节点做法2019.11.8(定稿)(5)

格式:pdf

大小:14.8MB

页数: 29页

评分:

1 北京 2022年冬奥会张家口赛区太子城冰雪小镇项目 电气工程施工工艺培训 编制人:李洋 中信建设有限责任公司 2019年 11月 8日 2 机电安装工艺做法标准(电气专业) 序 号 部 位 内容 主要关 注点 质量控制要求 /做法 实物效果图 1 高 低 压 配 电 室 接地干 线安装 低压配 电室室 内设置 一圈明 扁钢,方 便设备 放电和 接地 1、明扁钢与接地装置必 须相连,且不少于 2处。 2、所有金属设备外壳, 设备型钢基础、低压配 电柜的地排都应与明扁 钢相连。 3、刷漆(黄、绿相间色)。 2 水平明 扁钢的 安装 扁钢黄 绿相间 的色标, 涮漆长 度、倾斜 方向、离 墙距离, 距地高 度统一。 1、明装扁钢三边施焊、 无漏焊,焊缝饱满,焊 渣及时去除。 2、明装扁钢采用黄绿相 间的调和漆分段 (100mm 宽)涂涮。 3、明装扁钢离墙 10-15mm,底边距地 500mm

8.19电梯控制器程序设计与仿真

8.19电梯控制器程序设计与仿真

格式:pdf

大小:659KB

页数: 9页

评分:

电梯控制器 VHDL程序与仿真。 -- --文件名: dianti.vhd。 --功能: 6 层楼的电梯控制系统。 --最后修改日期: 2004.4.12。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为 2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic

热门知识

电气问题8.19-5

精华知识

电气问题8.19-5

最新知识

电气问题8.19-5
加载更多>>
加载更多>>

专题概述

电气问题8.19-5知识来自于造价通云知平台上百万用户的经验与心得交流。登录注册造价通即可以了解到相关电气问题8.19-5 更新的精华知识、热门知识、相关问答、行业资讯及精品资料下载。同时,造价通还为您提供材价查询、测算、询价云造价等建设行业领域优质服务。

相关推荐

立即注册
免费服务热线: 400-823-1298