选择特殊符号

选择搜索类型

热门搜索

首页 > 百科 > 建设工程百科

Xilinx FPGA高速串行传输技术与应用

2015年电子工业出版社出版社出版马海龙,张建辉,董永吉,陈博,黄万伟编著图书。

Xilinx FPGA高速串行传输技术与应用基本信息

Xilinx FPGA高速串行传输技术与应用目录

第1章数据传输技术综述与发展趋势1

1.1数据传输技术简介1

1.1.1并行传输技术简介1

1.1.2串行传输技术简介6

1.2高速串行传输技术的应用需求10

1.2.1高速并行传输的技术瓶颈10

1.2.2高速串行传输的技术优势12

1.3高速串行传输技术的推动力13

1.3.1I/O技术的不断改进13

1.3.2多重相位技术15

1.3.3线路编码技术16

1.3.4扰码传输技术18

1.3.5发送预加重技术19

1.3.6接收均衡技术22

1.4高速数据串行传输的解决方案24

1.5本章小结24

第2章常用高速串行传输接口协议简介26

2.1XAUI协议简介和应用26

2.1.1以太网技术的发展历程26

2.1.2XGMII接口简介与分析27

2.1.3XAUI协议的技术优势28

2.1.4XAUI协议详解29

2.2Interlaken协议应用简介31

2.2.1Interlaken协议简介31

2.2.2Interlaken协议数据格式33

2.2.3Interlaken接口信号简介36

2.3SATA协议简介和应用36

2.3.1SATA协议简介36

2.3.2SATA协议分层模型38

2.3.3SATA接口信号说明40

2.4PCI—Express协议简介和应用41

2.4.1PCI—Express协议简介41

2.4.2PCI—Express协议分层模型42

2.4.3PCI—ExpressSlot物理接口简介44

2.5RapidIO协议简介和应用45

2.5.1RapidIO协议简介45

2.5.2RapidIO分层模式说明47

2.5.3RapidIO接口信号描述51

2.6Aurora协议简介和应用52

2.7ATCA机箱的背板串行技术53

2.7.1PICMG3.0规范简介53

2.7.2ATCA机箱的背板接口标准54

2.8本章小结55

第3章Virtex—6GTX收发器的功能结构和应用概述56

3.1Virtex—6GTX收发器的功能和结构56

3.1.1Virtex—6GTX收发器的功能简介56

3.1.2Virtex—6FPGA中的GTX架构57

3.1.3Virtex—6GTX收发器的内部电路结构57

3.2TX发送端的功能和结构说明59

3.2.1TXInterface接口说明60

3.2.2TX发送端的时钟结构62

3.2.3TXOUTCLK时钟应用说明64

3.2.4TX发送端的复位过程描述66

3.2.5TX发送端的8b/10b编码器68

3.2.6TX发送端的缓冲区介绍70

3.2.7TX发送端的PRBS模式产生器71

3.2.8TX发送端的极性控制功能73

3.3RX接收端的功能和结构简介73

3.3.1RX接收端的功能说明73

3.3.2RX接收端的时钟电路结构74

3.3.3RX极性控制76

3.3.4RX接收端的PRBS模式检测器76

3.3.5RX接收端的字节和字对齐功能77

3.3.6RX接收端的LOS状态机80

3.3.7RX接收端的8b/10b解码器81

3.3.8RX接收端的弹性缓冲区82

3.3.9RX接收端的时钟纠正功能86

3.3.10RX接收端的通道绑定功能介绍88

3.3.11RX接收端的复位初始化93

3.3.12RXInterface接口说明94

3.4本章小结96

第4章XAUI核的功能简介和应用说明98

4.1XAUI协议应用简介98

4.2XilinxXAUI核功能简介99

4.2.1XilinxXAUI核应用概述99

4.2.2XilinxXAUI核功能描述100

4.3XAUI核的接口信号描述101

4.3.1XAUI接口信号概述101

4.3.2用户端接口简介102

4.3.3GTX收发器接口简介105

4.3.4MDIO管理接口简介105

4.3.5配置和状态接口信号106

4.3.6时钟和复位接口简介108

4.4XAUI核内部时钟结构108

4.5XAUI核的定制和创建109

4.5.1XAUI核的生成109

4.5.2建立XAUI核仿真工程112

4.5.3自生成数据的XAUI核仿真说明113

4.6本章小结117

第5章XilinxPCI—Express核简介118

5.1XilinxPCI—Express核学习导读118

5.2XilinxPCI—Express核概述119

5.2.1XilinxPCI—Express核的技术优势119

5.2.2XilinxPCI—Express核总览120

5.3XilinxPCI—Express核的协议层次简介121

5.3.1XilinxPCI—Express核的协议层次121

5.3.2XilinxPCI—Express核的配置空间简介122

5.4XilinxPCI—Express核的顶层接口信号125

5.4.1XilinxPCI—Express核的系统接口信号125

5.4.2XilinxPCI—Express接口信号125

5.5XilinxPCI—Express核的AXI4接口信号129

5.5.1XilinxPCI—Express核的公共接口信号129

5.5.2XilinxPCI—Express核的事务发送接口信号130

5.5.3XilinxPCI—Express核的事务接收接口信号132

5.6XilinxPCI—Express核的其他接口信号133

5.6.1XilinxPCI—Express核的物理层接口信号133

5.6.2XilinxPCI—Express核的配置接口信号136

5.6.3XilinxPCI—Express核的中断接口信号139

5.6.4XilinxPCI—Express核的差错报告信号140

5.6.5XilinxPCI—Express核的动态配置接口信号141

5.7XilinxPCI—Express协议的TLP格式142

5.7.1TLP概况142

5.7.2TLP格式介绍142

5.7.3TLP类型和格式字段编码字段介绍143

5.7.4Length字段与字节使能字段介绍144

5.7.5其他协议字段简介146

5.7.6TLP包格式查询表146

5.8本章小结149

第6章XilinxPCI—Express核的生成与定制150

6.1XilinxPCI—Express核的例化150

6.1.1集成核Endpoint结构概述150

6.1.2集成核Rootport结构概述152

6.1.3XilinxPCI—Express核的生成154

6.1.4XilinxPCI—Express核的仿真156

6.1.5XilinxPCI—Express核的实现157

6.1.6XilinxPCI—Express核的字典结构和内容158

6.2XilinxPCI—Express核的自定义生成163

6.2.1XilinxPCI—Express核的基本参数设置164

6.2.2XilinxPCI—Express核的基地址寄存器165

6.2.3XilinxPCI—Express核的配置寄存器设置171

6.2.4XilinxPCI—Express核的高级设置179

6.3程控输入/输出示例设计181

6.3.1XilinxPCI—Express核的PIO系统概述181

6.3.2XilinxPCI—Express核的PIO硬件182

6.3.3XilinxPCI—Express核的PIO应用186

6.4本章小结191

第7章XilinxPCI—Express核事务层接口设计193

7.1事务层TLP格式简介193

7.1.1TLP的字节序193

7.1.2TLP的相关说明194

7.2事务层TLP的传送195

7.2.1TLP传送的基本操作流程195

7.2.2连续事务的发送197

7.2.3发射通路的源节制198

7.2.4发射通路的目标节制198

7.2.5发射通路的源中止199

7.2.6目的端事务忽略200

7.2.7发射通路上的错误标记200

7.2.8发射通路的流传输201

7.2.9附加ECRC的事务201

7.3事务层TLP包的接收201

7.3.1TLP接收的基本操作流程201

7.3.2接收通路的数据节制203

7.3.3连续事务的接收204

7.3.4接收通路的重排序205

7.3.5接收通路的EP和TLPDigest字段使用206

7.3.6接收通路的基地址寄存器匹配206

7.3.7接收通路的Link—Down事件207

7.4本章小结208

第8章基于XilinxPCI—Express核的应用设计209

8.1物理层控制和状态接口设计209

8.1.1链路改变设计考虑209

8.1.2链路改变方式210

8.2配置空间信号设计214

8.2.1直接映射到配置接口的寄存器214

8.2.2设备控制和状态寄存器定义214

8.2.3配置端口对其他寄存器的访问217

8.3额外数据包处理的要求218

8.4用户错误报告设计219

8.4.1错误类型介绍219

8.4.2错误类型分类222

8.5电源管理设计223

8.5.1电源管理模式分类223

8.5.2程控电源管理223

8.6中断请求设计225

8.6.1传统中断模式226

8.6.2MSI中断模式227

8.6.3MSI—X中断模式228

8.7链接训练及链路翻转设计228

8.7.1链接训练支持228

8.7.2链路翻转支持229

8.8时钟复位设计229

8.8.1复位分类229

8.8.2时钟控制230

8.9动态配置设计232

8.9.1DRP接口的读/写232

8.9.2DRP接口的其他考量233

8.9.3DRP地址映射233

8.10核的约束设计239

8.10.1用户约束文件的内容239

8.10.2移植需要的修改240

8.11本章小结242

第9章Virtex—6GTX收发器的时钟和电源设计243

9.1Virtex—6GTX输入时钟结构和应用设计243

9.1.1输入参考时钟的内部结构243

9.1.2输入参考时钟的应用说明244

9.1.3GTX收发器的输入时钟接口信号和属性247

9.1.4单个外部输入参考时钟的GTX使用模型249

9.1.5多个外部输入参考时钟的GTX使用模型250

9.1.6多个Quad交叉使用输入参考时钟模型251

9.2GTX的PLL锁相环结构和功能描述252

9.3Virtex—6GTX的回环测试模式254

9.4Viretex—6GTX的单板设计指导255

9.4.1引脚描述和设计准则255

9.4.2终端电阻校准电路256

9.4.3未使用的GTX收发器管理257

9.4.4模拟电源的引脚连接257

9.4.5未使用的Quad引脚连接处理259

9.4.6Quad应用的优先级260

9.5参考时钟设计概述261

9.5.1时钟源选择概述261

9.5.2参考时钟接口连接方式262

9.6模拟电源电路设计263

9.6.1模拟电源设计概述263

9.6.2电源稳压器选择263

9.7本章小结264

第10章XilinxIBERT调试工具应用详解266

10.1XilinxIBERT调试工具的功能简介266

10.2XilinxIBERT核的基本结构267

10.3XilinxIBERT核的生成说明268

10.4XilinxIBERT核生成实例268

10.4.1IBERT核的生成268

10.4.2基于IBERT的GTX扫描测试274

10.5本章小结277

附录A278

参考文献283

查看详情

Xilinx FPGA高速串行传输技术与应用造价信息

  • 市场价
  • 信息价
  • 询价

串行通讯总线

  • ZN-RVSP 2x1.0mm
  • 新兴
  • 13%
  • 广州兴腾达贸易有限公司
  • 2022-12-06
查看价格

串行通讯CP341

  • (CP341带20mA接口) 6ES73411CH020AE0
  • 13%
  • 天津森思特科技有限公司
  • 2022-12-06
查看价格

串行网卡

  • 品种:网卡;型号:J-EI6116;产品说明:RS-232/RS485接口;
  • 依爱
  • 13%
  • 甘肃依爱瑞林消防科技有限公司
  • 2022-12-06
查看价格

串行网卡

  • J-EI6116规格:J-EI6116;品种:网卡;
  • 依爱
  • 13%
  • 甘肃瑞得森商贸有限公司
  • 2022-12-06
查看价格

串行网卡

  • J-EI6116
  • 依爱
  • 13%
  • 蚌埠依爱消防电子有限责任公司海南办
  • 2022-12-06
查看价格

施工电梯(液压高速)

  • SC200/200Y
  • 台·月
  • 深圳市2013年8月信息价
  • 建筑工程
查看价格

施工电梯(液压高速)

  • SC200/200Y
  • 台·月
  • 深圳市2013年7月信息价
  • 建筑工程
查看价格

施工电梯(液压高速)

  • SC200/200Y
  • 台·月
  • 深圳市2012年10月信息价
  • 建筑工程
查看价格

施工电梯(液压高速)

  • SCD200/200Y
  • 台·月
  • 深圳市2011年2月信息价
  • 建筑工程
查看价格

施工电梯(液压高速)

  • SCD200/200Y
  • 台·月
  • 深圳市2011年1月信息价
  • 建筑工程
查看价格

通讯控制技术应用实训软件

  • 通信控制系统上位机监控程序: 分为区间行驶模式进出站模式.(1)区间行驶模式当列车由区段1开始,从左向右行驶到区段8时,此时列车运行模式为区间行驶模式.允许车速信息为200km/h,区间行驶模式
  • 1套
  • 3
  • 智嵌通信与控制技术应用实训软件V2..0中软通信与控制技
  • 中高档
  • 含税费 | 含运费
  • 2018-05-16
查看价格

技术设计教学挂图

  • 11张,彩色,包括:实验室制度、经典技术设计赏析、经典技术人物介绍等内容.
  • 1套
  • 1
  • 中高档
  • 含税费 | 含运费
  • 2019-06-21
查看价格

数据传输应用程序

  • 通过ODBC、OLEDB等应用程序接口直接连接环境监测中心站在线监测联网数据管理平台并按相关格式写入数据,集成商组态、调试
  • 1套
  • 2
  • 中档
  • 不含税费 | 不含运费
  • 2020-09-03
查看价格

技术设计教学指导软件

  • 网络版:教材配套,提供详细的教材分析,包括教学目标分析、难点解析、教学设计、参考资料,提供完整的参考教案和教学课件、现场实际教学视频,以及丰富的多媒体教学参考资料,满足教师教学需要.
  • 1套
  • 1
  • 中高档
  • 含税费 | 含运费
  • 2019-06-21
查看价格

基础应用支撑平台

  • 提供统一登录平台入口、权限管理系统、日志管理系统、用户登录统计等基础功能.
  • 1套
  • 1
  • 高档
  • 不含税费 | 不含运费
  • 2022-08-04
查看价格

Xilinx FPGA高速串行传输技术与应用序言

序 言

近几年来,随着电子信息技术的飞速发展,物联网、云计算和大数据等新型应用涌现,规模庞大的数据集呈爆炸式增长,巨大的数据流使得现代通信系统的数据处理能力和数据通信带宽面临着更大的挑战。芯片级、板卡级和平台级的数据传输速度日益成为限制通信系统性能的关键因素,传统并行传输技术已成为进一步提高数据传输速率的瓶颈,追求更快速率,更高带宽的传输成为业界不容忽视的课题。

串行传输技术克服了高速传输并行信号存在的偏移问题,具有传输速率快、信号线间串扰小、电磁干扰低和PCB设计简单等优势,具有很好的抗噪能力,可以显著提高通信系统间的数据传输效果。当前,串行传输技术已经逐渐被广泛应用在业界的各个方面,为了适应不同的设备及环境,业界已经发展出了许多成熟的串行传输协议,如RapidIO、PCI-Express、XAUI、SerialATA及Interlaken等协议,高速串行传输技术在通信网络、数据存储、个人计算机、服务器和嵌入式控制等领域得到广泛应用。

以Xilinx FPGA为代表的可编程器件,兼有可编程性和高速I/O的技术优势,既能满足不断变换演进的串行传输协议的发展需求,同时支持芯片间、板卡和背板之间的高速数据互连,是实现高速串行接口应用的理想连接器件。Xilinx公司的FPGA器件提供串行传输解决方案和IP核,可以帮助用户极大地缩短开发时间和成本,已成为行业内高速串行传输设计的首选方式之一。

书中内容围绕Xilinx FPGA支持的串行传输解决方案和应用实现,具有以下特色:(1)系统性。本书系统地讲解了高速串行技术的发展历程,首先介绍高速收发器的基本结构和应用方法,以XAUI协议和PCI-E协议为例给出详细的实验步骤,最后系统地总结了高速收发器的调试方法和时钟电源电路的设计方法。(2)专业性。重点关注高速收发器的基础知识和应用技能,内容涉及高速收发器的内部结构、典型应用案例、调试工具和辅助电路设计等知识,帮助读者深入理解串行技术发展的前因后果,相较于现有高速串行传输技术的书籍突显其专业性。(3)实用性。本书重在拓展读者的高速设计开发能力,采用基础知识详解和典型实验案例,帮助读者快速掌握串行传输协议的实现方法,并可举一反三地从事其他高速串行协议开发。

本书凝结了作者多年的工程经验,希望这本书可以使读者系统掌握高速串行传输技术的基础知识和实践技能,帮助读者在应用过程中少走弯路,提升高速串行技术相关领域的应用开发能力。

信息工程大学 汪斌强教授

2015年3月

前 言

随着对电子系统吞吐量要求的日益提高,并行数据传输模式已不能满足高带宽应用的传输需求。高速串行数据传输技术具有高带宽、低时延、信号完整性好和扩展性强等优点,已逐步取代传统的并行总线技术。串行传输已成为数据传输的主流技术,广泛应用于通信网络、数据存储、个人计算机、服务器和嵌入式控制等诸多领域。一方面是与日俱增的带宽要求,另一方面是不断变化的新兴高速串行传输标准,二者都对设计人员提出了严峻挑战。由于FPGA器件兼有可编程性和高速I/O的技术优势,可以满足串行传输协议及演进变化的需求,因而FPGA器件已成为实现串行接口应用的理想连接平台。

随着信息技术的快速发展及大数据的兴起,需要处理的数据量越来越大,数据传输对总线带宽的需求也越来越高,市场上对串行技术的需求急剧增加。Xilinx公司以及其他芯片厂家都提供串行传输解决方案,支持芯片间、板卡和背板之间的数据互连。Xilinx FPGA器件内部集成了专用的高速收发器IP硬核,具有串并转换、时钟数据恢复、线路编码、时钟纠正和线路绑定等功能,在此基础上可以灵活开发多种串行传输协议,使得Xilinx FPGA在串行接口应用中愈加广泛。Xilinx公司还提供了XAUI、SATA、PCI-E、Interlaken、RapidIO和Aurora等协议的解决方案,有助于开发人员缩短串行传输技术的开发周期。

串行传输技术的应用开发需要系统性的背景知识和技术基础,开发人员在初次涉及Xilinx FPGA相关的串行传输技术时,若缺乏适当的背景知识和应用指导,在应用高速传输接口时将遇到诸多难点。由于串行传输技术应用存在的巨大市场需求,目前已有一些科研院所和培训机构开设了串行技术实现的相关培训,目前还未见系统性介绍Xilinx FPGA的串行技术方案,包括高速收发器介绍及相关串行传输协议IP核方面的书籍。笔者长期从事Xilinx FPGA高速传输技术开发,期间遇到了很多技术难点并逐一解决,积累了丰富的高速串行传输设计经验。笔者将高速串行实现技术的相关基础知识、开发实践和经验积累整理成册,希望该书对读者有所裨益,可以成为实践和应用Xilinx FPGA高速串行传输技术的首选指导用书;同时希望该书可以成为开发人员参与技术培训前的必备用书,帮助开发人员预先掌握一些基本知识和技能,在较短的培训时间里更加专注于应用实践,学习效果可以事半功倍。

本书内容经过精心设计:首先,介绍数据传输技术的发展简史,分析了串行技术取代并行技术的技术优势,重点阐述了几种推动串行技术发展的关键技术,并对现有的常用高速串行传输协议做了详细说明。其次,以Virtex-6系列FPGA的GTX收发器为例,对高速收发器的内部结构和接口信号做了详细介绍,使开发人员深入理解收发器的内部结构,夯实串行传输技术实现基础,便于开发人员举一反三从事相关高速串行传输协议开发,然后选择了目前市场上最具代表性和推广意义的XAUI和PCI-E接口协议,给出了详细的实验步骤和解释说明,使读者熟练掌握XAUI和PCI-E协议的应用技能,在此基础上可以起到触类旁通的效果,可以帮助开发者快速从事其他串行协议的应用开发。最后,介绍了Xilinx IBERT测试工具的应用经验,并对高速收发器的外部时钟和电源设计经验作了总结,对于开发人员正确设计和调试高速接口电路很有裨益。

本书按内容划分为四部分:第1章和第2章组成第一部分,第1章主要介绍传输技术的背景知识和高速串行传输解决方案;第2章重点对XAUI、Interlaken、SATA、PCI Express、RapidIO、Aurora和PICMG 3.0等串行接口协议做了简要说明。第二部分由第3章和第4章构成,主要说明Virtex-6 FPGA的GTX收发器的基本结构、功能,以及在XAUI核中的应用。第3章介绍了Virtex-6 FPGA GTX收发器中的高速串-并转换、时钟数据恢复、线路编/解码、时钟纠正和通道绑定等功能电路;第4章阐述了Xilinx XAUI核的数据、管理和配置接口功能和应用优势,并给出了详细的XAUI核生成和测试实例。第三部分由第5、6、7和8章构成,主要介绍了Virtex-6 FPGA的PCI-Express 核的基本结构、生成方法、接口功能和应用设计。第5章针对Xilinx PCI-Express核的协议层次、配置空间、各种接口信号,以及事务层的TLP包格式进行了详细说明;第6章介绍了Xilinx PCI-Express 核的定制与生成方法,并对核生成的程控输入/输出范例进行了讲解;第7章针对PCI Express 用户AXI4接口的设计进行详细说明;第8章介绍基于Xilinx PCIe核协议电源管理、链路训练等相关的应用设计方法。最后一部分包括第9章和第10章,主要介绍GTX收发器的辅助调试工具和外部电路的设计方法。第9章主要介绍IBERT调试工具的基本功能和调试过程;第10章主要给出RocketIO GTX核的外部时钟和电源设计经验总结,对于正确设计高速接口电路大有裨益。

本书由黄万伟、董永吉、陈博、张建辉、马海龙、张建伟 编著。第1章由陈博和马海龙完成;第2章由张建辉、陈博和张建伟完成;第3章和第4章由黄万伟和袁征完成;第5章由董永吉和陈博完成;第6章由董永吉完成;第7章由董永吉和马海龙完成;第8章由董永吉、张建伟和李康士完成;第9、10章由黄万伟和张霞负责完成。袁征完成了本书的实验部分,韩伟涛绘制了书中的大量插图。在本书编写过程中,谭立波、曹建业和贺炜给予了大力支持,并提出宝贵意见。特别感谢科通数字技术公司曾江卫、杨智勇工程师和上海皮赛电子有限公司朱哲勇先生,在本书编写过程,他们给予了大力的技术支持。感谢国家“973”项目“可重构信息通信基础网络的理论和体系结构”课题NETFPGA实验仿真小组兰巨龙教授和胡宇翔博士提供的技术支持。

本书既适合从事Xilinx FPGA串行传输技术开发的硬件设计工程师、电子设计爱好者和学生,尤其是书中涉及的串行传输技术已触及诸多领域,也适合通信网路、数据存储、图像处理、高性能计算等领域进行高吞吐量数据传输和处理工作的高校研究生和高年级本科生、教师、工程师等技术人员。

由于Xilinx FPGA高速串行解决方案内容广泛,本书所涉内容可能存在遗漏,加之编写时间有限,书中难免存在不妥之处,敬请广大读者指正。

作者

2015年3月

查看详情

Xilinx FPGA高速串行传输技术与应用编辑推荐

本书围绕高速串行传输技术,重点关注Xilinx FPGA支持的串行传输解决方案,并以XAUI和PCI-E协议为例讲解。目前Xilinx FPGA技术的相关书籍大多注重基础开发经验,未见讲述专业性较强的接口传输技术类书籍。

查看详情

Xilinx FPGA高速串行传输技术与应用常见问题

查看详情

Xilinx FPGA高速串行传输技术与应用作者简介

一直从事网络路由、交换和安全设备的系统设计和硬件开发,多次作为负责人承担国家“863”项目和“973”项目硬件设计,获得国家和省市级科技进步奖项。长期从事电子类竞赛的指导工作,指导研究生取得全国研究生电子竞赛金奖,获得优秀指导老师称号。

查看详情

Xilinx FPGA高速串行传输技术与应用文摘

当上层协议请求组建FIS时,传输层完成以下功能:

(1)根据FIS请求类型收集FIS内容;

(2)按照正确的顺序存放FIS内容;

(3)通知链路层需要传输的帧,并将FIS发往链路层;

(4)管理缓存/FIFO,通知链路进行流控;

(5)接收来自链路层的帧接收应答;

(6)对于上层请求,返回完成发送或错误状态。

当从链路层接收到FIS时,传输层提供以下功能:

(1)接收来自链路层的FIS;

(2)检测FIS类型;

(3)根据FIS类型将FIS内容分发到不同位置;

(4)对于主机端传输层,接收到FIS后可能需要组建一个FIS返回到设备端。

(5)对于上层请求,返回完成或错误状态。

3)链路层

链路层负责发送和接收帧,根据传输层的控制信号发送原语,从物理层接收已经转换成控制信号的原语发送给传输层。链路层并不关注所传输帧的内容。主机端和设备端的链路层状态机相似,但如果两端同时进行发送,则设备端具有较高的优先级。

当传输层请求发送帧时,链路层实现如下功能:

(1)与对等链路层进行协商,避免主机和设备同时请求发送数据而造成冲突;

(2)向传输层数据(如SOFp、CRC、EOFp等)插入帧头、帧尾和校验等信息;

(3)从传输层以双字为单位接收数据,并计算数据的CRC校验,进行8b/10b编码、扰码;

(4)传输帧,并根据对等链路层或者FIFO的请求数量进行流量控制;

(5)接收对等链路层的帧接收信息,并向传输层报告传输完成或链路层、物理层传输错误。

查看详情

Xilinx FPGA高速串行传输技术与应用文献

Xilinx和TI高速采集系统设计3 Xilinx和TI高速采集系统设计3

Xilinx和TI高速采集系统设计3

格式:pdf

大小:4.0MB

页数: 32页

Xilinx和TI高速采集系统设计3

应用于高速串行收发器的CDR电路的设计 应用于高速串行收发器的CDR电路的设计

应用于高速串行收发器的CDR电路的设计

格式:pdf

大小:4.0MB

页数: 4页

时钟数据恢复(CDR)电路是高速数据传输系统的重要组成部分。文章介绍了一种半数字二阶时钟数据恢复电路的基本结构、工作原理和设计方法,并进行了仿真和验证,结果表明,电路能够满足系统设计要求。

Xilinx FPGA数字电路设计图书信息

书 名: Xilinx FPGA数字电路设计

出版时间: 2012年1月1日

开本: 16开

定价: 85.00元

查看详情

Xilinx FPGA数字电路设计图书目录

Chapter 1 使用FPGA芯片设计数字电路的方法

1.1 什么是FPGA

1.2 FPGA芯片的发展过程及其基本架构

1.2.1 PLD的基本架构

1.2.2 PLD的种类

1.3 XilinxFPGA的基本架构

1.3.1 XilinxSpartan?3ANFPGA的基本架构

1.4 XilinxSpartan3ANFPGA芯片型号代表的意义

1.5 数字电路的传统设计方法

1.6 使用FPGA设计数字电路的方法

1.6.1 使用绘图方式设计数字电路的方法

1.6.2 使用VHDL硬件描述语言设计数字电路的方法

1.6.3 使用Verilog硬件描述语言设计数字电路的方法

1.6.4 使用状态机方式设计数字电路的方法

1.7 XilinxISE开发系统功能简介

1.8 使用XilinxISE开发系统设计FPGA及CPLD操作方式的差异

1.9 XilinxISimSimulator简介

1.1 0ModelSim模拟器简介

Chapter2 XilinxISEWebPACK及ModelSimXE

模拟器的下载及安装

2.1 ISEWebPACK软件的下载

2.1.1 登录Xilinx公司网站

2.1.2 进行注册

2.1.3 下载ISEWebPACK软件

2.1.4 ISEWebPACK更新文件的下载

2.1.5 License文件的产生及下载

2.2 ISEWebPACK软件的安装

2.3 XilinxISEWebPACK的更新

2.4 ModelSimXE模拟器的下载及安装

2.4.1 ModelSimXE模拟器的下载

2.4.2 ModelSimXE模拟器的安装

2.4.3 MdelSimLicense文件的下载及安装

2.5 ISEWebPACKLicense文件的更新方法

Chapter3 FPGA芯片开发板

3.1 概述

3.2 依元素XC3S200AN_FT256FPGA芯片开发板

3.3 依元素XC3S200AN_FT256开发板外围装置与FPGA芯片引脚

3.3.1 电源装置

3.3.2 输入装置

3.3.3 输出装置

3.3.4 RS232传输接口

3.3.5 XilinxSpartan3ANXC3S200AN?FTG256FPGA芯片

3.3.6 J1Connector

3.3.7 J2Connector

3.4 XC3S200AN_FT256开发板的下载方式

Chapter4 XilinxISE的简易操作步骤

4.1 如何进入ISEProjectNavigator窗口

4.2 如何新建工程

4.3 如何离开所建立的工程

4.4 ProjectNavigator窗口功能介绍

4.5 如何打开一个旧的工程

4.6 基本逻辑门介绍

4.6.1 非门的电路符号、布尔代数式及真值表

4.6.2 或门的电路符号、布尔代数式及真值表

4.6.3 与门的电路符号、布尔代数式及真值表

4.6.4 异或门的电路符号、布尔代数式及真值表

4.7 基本逻辑门设计方法

4.7.1 取出逻辑门组件

4.7.2 缓冲器组件的取出

4.7.3 执行连线的动作

4.7.4 加入输入/输出端

4.7.5 定义输入/输出端名称

4.8 基本逻辑门功能模拟的执行

4.8.1 TestBench的产生

4.8.2 TestBench语法的检查

4.8.3 使用ISim模拟器的模拟方法

4.8.4 模拟时间的设定

4.8.5 使用ModelSim模拟器的模拟方法

4.9 设计执行

4.9.1 ImplementationConstraintsFile的设定

4.9.2 ImplementDesign的执行

4.10 使用FPGAEditor查看芯片布局与布线

4.11 FPGA芯片资源利用报告的查看

4.12 ConfigurationData的产生

4.13 时序模拟的执行

4.13.1 使用ModelSim执行时序模拟的方法

4.13.2 使用XilinxISimSimulator执行时序模拟的方法

4.14 Configuration的执行

4.14.1 直接下载至FPGA芯片

4.14.2 下载至FPGA芯片内部的FlashMemory

4.14.3 USB下载线的连接方法

4.14.4 直接下载至FPGA与下载至FPGAFlash的差异

4.15 以HDL硬件描述语言设计数字电路的方法

Chapter5 组合逻辑设计实例

5.1 编码器

5.1.1 十进制对二进制编码器

5.1.2 使用绘图方式的设计方法

5.1.3 功能模拟的执行

5.1.4 ModelSim模拟器的简易操作

5.1.5 将十进制对二进制编码器设计成组件模块使用

5.1.6 十进制对二进制编码器组件模块的模拟及下载

5.2 如何将建立的组件模块用于别的工程

5.3 BCD译码器

5.3.1 BCD译码器的基本电路

5.3.2 使用绘图方式的设计方法

5.3.3 将BCD译码器组成组件模块使用

5.3.4 再使用ModelSim模拟电路的功能

5.3.5 执行及下载

5.4 2对4译码器

5.5 多路分配器

5.5.1 1对4多路分配器

5.6 多路选择器

5.6.1 4对1多路选择器

5.7 一位全加器的设计

5.7.1 一位半加器

5.7.2 一位全加器

5.8 二位全加器的设计

5.9 BCD七段显示器译码器的设计

5.9.1 七段显示器的基本架构

5.9.2 七段显示器译码器的真值表

5.9.3 布尔代数式

5.9.4 逻辑电路图

5.9.5 使用XilinxECS绘图

5.9.6 使用ModelSim执行电路功能模拟

5.9.7 将七段显示器译码器设计成组件模块使用

5.9.8 再使用ModelSim模拟电路的功能

5.9.9 ImplementationConstraintsFile的执行

5.9.1 0ImplementDesign的执行

5.9.1 1时序模拟的执行

5.9.1 2Configuration的执行

Chapter6 时序逻辑电路设计

6.1 四位异步加法计数器的设计

6.2 不同频率时钟脉冲产生电路的设计

6.3 具有七段显示器译码器的四位异步加法计数器的设计

Chapter7 VHDL硬件描述语言设计方法

7.1 使用VHDL硬件描述语言设计数字电路

7.1.1 使用ISEHDLTextEditor编辑VHDL硬件描述语言设计

电路

7.1.2 使用ISE语言样板设计VHDL硬件描述语言的方法

7.2 VHDL硬件描述语言的基本架构组成

7.2.1 Library声明的格式

7.2.2 Use声明的格式

7.2.3 Entity电路实体的描述格式

7.2.4 Architecture结构体的描述格式

7.2.5 Structure声明所使用的格式及范例

7.2.6 Dataflow描述的格式及范例

7.2.7 Behavioral行为描述的格式及范例

7.2.8 组成声明描述的格式及范例

Chapter8 VHDL硬件描述语言的描述规则

8.1 VHDL硬件描述语言指令的命名规则

8.1.1 VHDL的批注

8.2 VHDL语句的描述形式

8.3 VHDL的常用指令

8.3.1 IF条件式

8.3.2 WHEN…ELSE语句

8.3.3 CASE…IS…WHEN…WHENOTHERS语句

8.3.4 WITH…SELECT…WHEN…WHENOTHERS语句

8.3.5 LOOP语句

8.3.6 NEXT语句

8.3.7 WAIT语句

8.4 VHDL中所使用的运算符

8.5 VHDL的保留字

Chapter9VHDL设计实例

9.1 3对8译码器

9.2 十六进制加减计数器

9.2.1 分频器的设计

9.2.2 十六进制加减计数器的设计

9.2.3 多路选择器

9.2.4 七段显示器译码器的设计

9.2.5 十六进制加减计数器完整电路的设计

9.3 BCD加减计数器

9.3.1 BCD加减计数器的设计方法

9.3.2 分频器、多路选择器、七段显示器译码器模块的导入

9.3.3 BCD加减计数电路的完整设计

9.4 跑马灯

9.4.1 八位右移寄存器的设计

9.4.2 完整跑马灯的设计

Chapter10VHDL专题设计

1018×8点阵LED

1011基本架构

1012设计方法

1013合成及下载

102液晶显示

1021液晶显示模块基本架构

1022LCM工作原理

1023设计方法

1024VHDL设计

1025合成及下载

1026LCD由右向左移位显示的设计

103键盘

1031键盘读取基本原理

1032设计方法一

1033设计方法二

104蜂鸣器

1041蜂鸣器发音的基本原理

1042设计方法

105RS232接口

1051打开一个新工程

1052VHDL设计

1053合成及下载

1054计算机超级终端的设置

参考文献641

查看详情

数字滤波器的MATLAB与FPGA实现——Xilinx/VHDL版内容简介

本书以Xilinx公司的FPGA器件为开发平台,采用MATLAB及VHDL语言开发工具,详细阐述了数字滤波器的实现原理、结构、方法及仿真测试过程,并通过大量工程实例分析其在FPGA实现过程中的具体技术细节。其主要内容包括FIR滤波器、IIR滤波器、多速率滤波器、自适应滤波器、变换域滤波器、解调系统的滤波器设计等。

查看详情

相关推荐

立即注册
免费服务热线: 400-888-9639