VHDL语言
16位的多路选择器,其功能是能够试16位的信号同时附加到data1和data2上。
其VHDL语言如下:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity selc is
port(s:in std_logic_vector(1 downto 0);
data:in std_logic_vector(15 downto 0);
data1:out std_logic_vector(15 downto 0);
e: out std_logic;
data2:out std_logic_vector(15 downto 0));
end selc;
architecture fun of selc is
begin
process(s)
begin
case s is
when "00" => data1<=data;e<='1';
when "01" => data2<=data;e<='1';
when others => null;
end case;
end process;
end fun; 解读词条背后的知识 老骥伏枥学编程 少儿编程启蒙,一种另类的学51单片机编程。
零基础学51单片机(五):多路开关状态显示程序(适应于抢答器)
今天我们学习的内容是:用积木拼接搭建成一个多路开关状态显示的程序。以我们单片机上的独立按键为例:假设我们键盘上的 S2 S3 S4 S5 四个独立按键为我们生活中的四个开关。我们的目的,就是哪个键先按下就显示哪个的对应的编号,并且点亮相应的指示灯。上期回顾:零基础学51单片...
2020-03-180阅读39