造价通

反馈
取消

热门搜词

造价通

取消 发送 反馈意见

mcp41010MCP41010概述

2018/06/1999 作者:佚名
导读: MCP41010采用8引脚双列直插封装,其引脚排列如图1所示。其中PW0为电位器滑动端;PA0,PB0为电位器的两个终端;SCK,SI为SPI总线的串行时钟和串行数据线。MCP41010工作电压为2.7~5.5 V。上电复位时,数据寄存器自动设定为80H,滑动端PW0指向PA0与PB0的中间。 MCP41010的内部结构 MCP41010的内部结构如图2所示,从图2中可以看

MCP41010采用8引脚双列直插封装,其引脚排列如图1所示。其中PW0为电位器滑动端;PA0,PB0为电位器的两个终端;SCK,SI为SPI总线的串行时钟和串行数据线。MCP41010工作电压为2.7~5.5 V。上电复位时,数据寄存器自动设定为80H,滑动端PW0指向PA0与PB0的中间。

MCP41010的内部结构

MCP41010的内部结构如图2所示,从图2中可以看出,此芯片内含有:SPI总线接口、一个POT(电位器)。POT内有一个8 b滑刷控制数据寄存器。

MCP41010的控制方式

MCP41010具有SPI总线接口,采用简单的2 B指令结构。它的控制方式非常简单,可以采用SPI总线通信,也可以采用软件模拟SPI总线时序。

MCP41010的指令格式

MCP41010的指令非常简单,由两段组成每段均有一个字节:第一段为命令字节,第二段为数据。命令字节中第2,3位和6,7位为无效位,不用对其操作;C1,C0为指令选择位;P1,P0为电位器选择位,由于MCP41010只集成了一个电位器,所以P1,P0必须设为01。在MCP41010中,C1,C0为01时为写数据指令;C1,C0为00或11时为空操作;C1,C0为10对应关闭模式用于MCP42XXX系列数字电位器。在MCP41010中写命令字节通常为0x11,数据字段为8 b/s数据,可以置滑动端到256个端点中任何一个,因此精度非常高。

MCP41010的指令序列传输

先写命令字节再写数据字节。CS为数字电位器片选端,只有为低电平时,命令字和数据字才能进入16位移位寄存器。当出现上升沿时,移位寄存器的值进人数据寄存器,从而改变了电位器阻值。SCK为时钟线,数据在SCK的上升沿进入SI数据线。器件会在上升沿时自动监测低电平时SCK的脉冲数,也就是上升沿的个数,只有时钟数为16的倍数时,命令才能执行,否则命令失效。一个完整的MCP41010写时序包括以下几个部分:

(1)起始位。以CS为低电平,SCK出现上升沿为起始标志。

(2)传送MCP41010的命令字段。

(3)传送8 b的数据字段。

(4)停止位。以SCK为低电平,CS出现上升沿为SPI总线传输结束标志。

*文章为作者独立观点,不代表造价通立场,除来源是“造价通”外。
关注微信公众号造价通(zjtcn_Largedata),获取建设行业第一手资讯

热门推荐

相关阅读